vhdl

Cuáles son las mejores prácticas para los Lenguajes de Descripción de Hardware (Verilog, VHDL, etc.) [cerrado]

¿Qué mejores prácticas deben observarse al implementar el código HDL? ¿Cuáles son los puntos en común y las diferencias en comparación con los campos de desarrollo de software más comunes?

vsim no acepta el parámetro-modelsimini en Windows

Estoy usando el argumento de línea de comandos -modelsimini <modelsim.ini> para especificar mi propio archivo modelsim. ... r tools agrega sus asignaciones de biblioteca.) ¿Cómo puedo pasar mi propio modelsim.ini archivo de configuración vsim.exe?

Múltiples asignaciones al mismo registro en un bloque RTL con Kansas Lava

Tengo problemas para entender el comportamiento de Kansas Lava cuando un bloque RTL contiene varias asignaciones al mismo reg ... sig_2_o0 <= '1'; OUTPUT <= sig_2_o0; end architecture str; Pero no estoy seguro lo que eso significaría en VHDL.

Profesional VHDL IDE? [cerrado]

¿Hay un buen IDE para trabajar con proyectos VHDL ? ¿O la mayoría de los profesionales trabajan con emacs / vim / notepad++ ?

Experiencias con Test Driven Development (TDD) para el diseño lógico (chip) en Verilog o VHDL

He buscado en la web y las discusiones/ejemplos parecen ser para el desarrollo de software tradicional. Dado que Verilog y V ... 0: La ventaja de TDD es asegurarse de que la prueba falla primero. Supongo que esto también podría hacerse con afirmaciones.

La mejor manera de aprender VHDL? [cerrado]

Quiero aprender VHDL pero realmente no sé por dónde empezar. Quiero algunos consejos y explicaciones sobre el software que n ... der tiempo buscando en Internet, ya que hay muchos libros electrónicos y tutoriales y estoy muy confundido sobre cuál elegir.